This website uses cookies and other technologies to help us provide you with better content and customized services. If you want to continue to enjoy this website’s content, please agree to our use of cookies. For more information on cookies and their use, please see our latest Privacy Policy.

Accept

cwlogo

切換側邊選單 切換搜尋選單

TSMC’s Five Keys to Success 

Leaving Samsung Behind with High Yield Rate

Leaving Samsung Behind with High Yield Rate

Source:Chien-Tong Wang

“Many people went to Samsung recently looking for an alternative, but TSMC was not worried.” 

Views

3792
Share

Leaving Samsung Behind with High Yield Rate

By Liang-rong Chen, Hannah Chang
From CommonWealth Magazine (vol. 686 )

[This is the second part of a two-part series in which CommonWealth Magazine went behind the scenes to identify five keys to TSMC’s ability to stay ahead of its main rivals.]

Key to Success No. 3: Leaving Samsung Behind with High Yield Rate

As committed as TSMC has been to developing EUV technology and using it in high-volume production for its 7-nanometer process, its yield rate remains a disappointing 50 percent or so, says an executive with an IC packaging and testing company. 

Being able to use only one of every two 7nm wafers produced explains in large part why TSMC’s 7nm capacity has been so tight.

Consequently, it added US$4 billion to its capital expenditure budget this year, with about US$1.5 billion being put into expanding 7nm capacity while the other US$2.5 billion to be invested in 5nm capacity, expected to start high-volume production in the first quarter of 2020.

Unable to secure supply from TSMC, “many people went to Samsung recently looking for an alternative,” the executive says, “but TSMC was not worried.” 

Samsung “declared” at the end of last year that it had started high-volume production of 7nm chips using EUV lithography, but unable to resolve its dust issues, its yield rate has only been about 30 percent. 

                               

“So after everybody saw that, they came back to TSMC and got in line,” the executive says.

Samsung opens up a new US-based headquarters, spending $300 million on a new campus in Silicon Valley (Source: Shutterstock)

Yet with its core memory chip business sluggish, the Korean electronics giant has doubled down on its chip contracting ambitions. In April, it announced its “Semiconductor Vision 2030” under which it intends to invest US$110 billion over the next 10 years to overtake TSMC and Intel and become No. 1 in the non-memory semiconductor market by 2030.

Samsung later asserted it would bypass the 5nm process and move directly into 3nm chips with its new “Gate-All-Around” (GAA) technology that will use a new, evolved transistor architecture to deliver a 45 percent reduction in chip area, and 50 percent lower power consumption or 35 percent higher performance – almost as though speeding up Moore’s Law by a generation. 

The projected mass production rollout of the 3nm is expected for 2021, according to the company, about a year before TSMC’s anticipates its 3nm high-volume production launch.

TSMC has not bought into Samsung’s hype, however. At the company’s Q2 investor conference in July, TSMC Chairman Mark Liu went after Samsung publicly, arguing that TSMC’s 5nm and 3nm processes were both genuine “full-node shrinks” – referring to a full-generation change in the transistor architecture and the design connecting the transistors together.

“This is very different than our competitors’ road map. If you compare their numerical, ‘3’ is probably closer to the ‘5,’” Liu said. 

According to an analyst with a foreign brokerage, Liu was hinting that Samsung was in fact cutting corners and engaging in a campaign to mislead clients, taking advantage of its new transistor architecture and resulting boost in performance to pretend it had “shrunk” another generation to the 3nm level.

In fact, the new product’s actual scale only represented a single-generation improvement from the 7nm process and was similar to the 5nm process TSMC is scheduled to begin mass producing in 2020 Q1, the analyst said. In other words, TSMC still has an edge over Samsung.

A former TSMC R&D executive also revealed that TSMC has been researching GAA architecture for more than 10 years and even presented the idea of a 5nm transistor designed with GAA technology in a paper delivered back in 2004 at the prominent Symposia on VLSI (very large scale integration) Technology and Circuits. 

“It just depends on whether TSMC wants to pull out the (3nm) technology at this point in time,” the former TSMC executive said.

Key to Success No. 4: Intel May Be Fading Out

2021 has been billed as the year Intel fights back.

One place to watch will be in Taoyuan in northern Taiwan, where Unimicron Technology Corporation is building a new NT$20 billion factory in Yangmei. Ground was broken not long ago on the facility that has been dubbed the biggest ABF (ajinomoto build-up film) substrate production line across the Taiwan Strait.

Unimicron Chairman Tseng Tzyy-jang disclosed at the company’s annual shareholders meeting in June that “this new factory will cooperate with American CPU and GPU vendors” and its capacity should come on line in 2021.

An executive with a large IC packaging and testing vendor says Unimicron’s factory was set up as a new production line dedicated to providing carrier boards for Intel’s EMIB (embedded multi-die interconnect bridge) packaging technology (similar to TSMC’s CoWoS packaging technology) used for server CPUs.

“Intel wants to ship large amounts of product and use a high-volume strategy to compete with AMD [and by association TSMC],” the executive says.            

AMD, which is Intel’s arch-rival, has used TSMC’s advanced processes to gain a one-generation lead over Intel. In the few months since it introduced a brand new line of “Rome” series CPUs for servers in August, Google, Twitter and other major companies have jumped on board, and analysts forecast that it will snatch more than 10 percent market share from Intel next year.  

Over the past year, Unimicron’s share price has risen 180 percent, becoming one of the earliest beneficiaries of the looming confrontation between industry giants in Taiwan and the United States. But that does not guarantee that Intel will soon regain its mojo in trying to outduel TSMC.

TSMC has in fact overtaken the U.S. chip company in market capitalization over the past month. As of Nov. 25, TSMC had a market value of US$262.63 billion compared to Intel’s US$250.60 billion based on the price of TSMC’s American depositary receipts (ADR).   

TSMC’s market value briefly surpassed Intel’s in 2017, but when that happened most people in the industry thought it was implausible and some even suggested TSMC was simply lucky.

This time, however, semiconductor veterans feel differently, seeing TSMC’s ascension as a genuine changing of the guard at the top of the industry.

The Intel Museum located at Intel's headquarters at California, United States (Source: Shutterstock)

TSMC Has Won the Battle

A longtime Silicon Valley entrepreneur involved in IC design who is familiar with Intel has told CommonWealth Magazine for the past few years that it was “wishful thinking” for TSMC to take on the American semiconductor giant.

But he now admits to TSMC’s ascendance. “The battle is over. TSMC has taken over the semiconductor industry,” he says.

The industry veteran came to that realization after Intel was forced to farm out some of its lower level chipsets to TSMC because of the huge shortfall in its own 14nm production. 

The word was that when new Intel CEO Robert Swan heard TSMC’s quote, the former chief financial officer could not believe how low it was.

“That shows that [this order] will not be going back,” the Silicon Valley entrepreneur says.

The veteran industry insiders interviewed by CommonWealth for this story, including a former senior Intel executive, believed that because of Swan’s financial background and emphasis on the numbers, Intel may not devote additional resources to advanced processes and could even phase out its wafer contracting business.

“I’ve heard that in the future Intel may become more like AMD [and spin off its manufacturing operations]. It would not be surprising,” the entrepreneur says, which would make Intel less of rival to TSMC.   

After Morris Chang (center) retired, two incidents that affected TSMC production temporarily cast a shadow over his successors, Chairman C.C. Wei (left) and CEO Mark Liu. But TSMC’s technology lead has reassured foreign investors. (Source: CW)

Key to Success No. 5: Capitalizing on AI, Going for 1nm Process

The biggest nightmare of every tech company is seeing technological evolution in its respective field reach its limits and entering “commoditization” purgatory, allowing pursuers to catch up as prices fall. That scenario is already playing out in the solar energy and LED sectors.    

In a CommonWealth interview with TSMC founder Morris Chang in 2016 when he was still the company’s chairman, Chang said Moore’s Law would likely reach its end in around 2025 with the 2nm process.

Today, only six years away from Chang’s “Armageddon,” TSMC has embarked on research into the 2nm process.

At a press conference after TSMC’s Sports Day in early November, CommonWealth again asked the now retired Chang, who was in high spirits, if he still held to his prediction on the demise of Moore’s Law. 

He laughed and said he was no longer in the business of forecasting when Moore’s Law would come to an end and invoked an old Chinese poem. 

“After reaching the end of hills and streams and with seemingly nowhere to go, beyond dark willows and flowers in bloom another village appears,” he said, now believing there may also be light at the end of the tunnel.  

Mark Liu later added that TSMC is confident of reaching 1nm or even lower, but even more important, he said, was the phrase cited by Microsoft executive vice president Harry Shum CEO the week before at the annual meeting of the Taiwan Semiconductor Industry Association (TSIA): “In the AI age, computing power is never enough.”  

“It’s never enough,” Liu repeated for emphasis. “This is a ‘confirmation’ of our efforts to drive cutting-edge technology.” 

Liu’s vision dovetailed with Chang’s previous sense that Moore’s Law was in fact an economic proposition – that if the market was not willing to pay the price for the latest technology, Moore’s Law would naturally not be sustained.

There were always people in the past, for example, who questioned whether any company could afford or needed to use exceedingly expensive 3nm technology other than Apple or Qualcomm. 

But with the arrival of AI and the 5G network to spread AI, another village is appearing beyond the dark willows and flowers in bloom, and suddenly every company is a customer for the most advanced technologies.

Geopolitical Importance 

“Somebody once said that only seven customers would be left when 7nm arrived, only five customers would be left when 5nm arrived and only three customers would be there when 3nm arrived,” Kevin Zhang, TSMC’s vice president of business development, said at the TSIA annual meeting. 

“Yet today, for N7+ ‘tape-outs’ alone, we have more than 100 products,” he said, his “tape-outs” referring to when a product is ready for production. 

When Morris Chang founded TSMC, his vision was to create “everyone’s foundry.” That vision has stuck with TSMC managers and employees and appears to have come true. Traditional IC design houses, smartphone companies such as Apple and Huawei, and even Google, Amazon and Microsoft, who have designed faster chips to meet their AI needs, all count themselves among TSMC’s customers.

TSMC indirectly serves China’s People’s Liberation Army (PLA) and the U.S. Department of Defense with chips used in cruise missiles, military drones, and submarines. An executive at a semiconductor company in which Intel previously held a stake describes TSMC as the “guardian factory protecting the country.” 

“Do you think China would really dare attack Taiwan? Many of the chips the PLA uses are made by TSMC,” he says.

It was not surprising, therefore, that Chang said this at the company’s Sports Day: “In this chaotic world, TSMC is a very important company. When the world is peaceful, we are an important link in the IT supply chain. But now, TSMC has become a place of vital importance in geopolitical terms.” He said TSMC was a key battleground for geopolitical strategists.  

Another meaning of the “everyone’s foundry” vision is its inclusiveness, the idea that every tech company, every country is indispensable. In the eyes of the “geopolitical strategists” Chang was referring to, they feel that controlling TSMC means controlling its competitors, every country and every individual.

In other words, this semiconductor vendor that has brought together Taiwan’s technology elite may now play the role of Thanos’ infinity gauntlet in “The Avengers” series – whoever possesses it controls the world.   

If U.S. President Donald Trump or Chinese leader Xi Jinping wants to wear that glove in the future, it will be a huge headache, not only for TSMC but for Taiwan itself.  

More on TSMC➣
♦ Decoding U.S. Export Controls: Can TSMC Continue to Supply Huawei?
♦ Snatching Apple Orders: TSMC’s Unsung Weapon
♦ China's Big Money ≠ A Second TSMC

Insight from Morris Chang➣
♦ Unstable World Makes TSMC Vitally Important in Geostrategic Terms
♦ Ready for a Clean Break with TSMC
♦ Who Do Corporations Fight for?

Translated by Luke Sabatier
Edited by Sharon Tseng

Views

3792
Share

Keywords:

好友人數