This website uses cookies and other technologies to help us provide you with better content and customized services. If you want to continue to enjoy this website’s content, please agree to our use of cookies. For more information on cookies and their use, please see our latest Privacy Policy.

Accept

cwlogo

切換側邊選單 切換搜尋選單

Meet Japan's largest semiconductor technology company

Meet Japan's largest semiconductor technology company

Source:Ming-Tang Huang

In an exclusive interview, Tokyo Electron Ltd. (TEL), Japan's largest technology company, reveals how it boldly transformed after Japan's semiconductor downturn of three decades, surpassing Sony in market value and becoming the world's fourth-largest semiconductor equipment manufacturer.

Views

1235
Share

Meet Japan's largest semiconductor technology company

By Elaine Huang
From CommonWealth Magazine (vol. 793 )

With Nvidia fueling global semiconductor frenzy and global funds flowing back into Japanese stocks, Tokyo Electron Ltd. (TEL) has seen its stock price surge by 30% since February, surpassing ¥17 trillion in market capitalization, overtaking Sony and becoming Japan's largest technology company (as of March 1).

However, despite these achievements, the company only achieved a modest ¥2.2 trillion in annual revenue in 2023, merely one-sixth of Sony's.

How did TEL, at 61 years old, become the epitome of Japan's semiconductor revival?

A Japanese company without conglomerate backing

At 61, Toshiki Kawai, the President of TEL, dons a sharply tailored suit and expressive demeanor.

Tokyo Electron Ltd. has no conglomerate backing, yet has managed to enter the global arena during Japan's three-decade semiconductor decline, maintaining its position by embodying a new corporate culture of "profitability and speed."

"We have undergone three major growth stages to become the TEL of today," says Toshiki Kawai.

Toshiki Kawai. (Photo: Ming-Tang Huang)

Though his English name on his business card reads "Tony Kawai," "Tony" was a nickname bestowed by his European clients. "My name was a bit difficult for Europeans to pronounce," he chuckles, explaining how he relinquished his English name and became known as Tony.

Founded in 1963, Tokyo Electron Ltd. started as a trading company, enhancing its technical service capabilities by representing and selling European and American machinery, marking the first stage of its growth.

With strengthened technical service capabilities, TEL transitioned into semiconductor equipment manufacturing during Japan's semiconductor heyday in the 1980s, with major Japanese electronics manufacturers such as Mitsubishi Electric and Toshiba as its key clients.

As Japan's semiconductor industry declined and competitors from Taiwan and South Korea emerged, TEL shifted its focus globally to seek clients and business opportunities, leading to the second stage of its growth.

A graduate of Meiji University's School of Business, Kawai joined TEL in 1986 during Japan's semiconductor boom. While working at the Osaka branch, he served as the key contact for major client Mitsubishi Electric, accompanying them to Taiwan to support the technology transfer of Mitsubishi's Powerchip Semiconductor.

"At that time, Powerchip used the same machinery as Mitsubishi," recalls a senior manager at a Taiwanese equipment manufacturer. The most significant indication was, of course, Taiwan Semiconductor Manufacturing Company (TSMC). In the early days, most of TSMC's equipment came from the United States, with only a few TEL machines sporadically used in its 6-inch wafer fabs. However, as TSMC transitioned to 8-inch wafers, it began to extensively adopt TEL's machines, particularly for etching and lithography equipment.

However, TEL emphasizes that the company does not tailor its opinions to individual clients.

In the 30 years since the decline of Japanese semiconductors, TEL has "left Japan and entered the world", becoming the world's fourth largest semiconductor equipment manufacturer. (Photo: Ming-Tang Huang)

Last year, TEL generated 90% of its sales from overseas clients, with over 10% coming from Taiwan.

Learning from American competitors: Pursuing profitability and speed

In 2015, just a year before Kawai assumed the presidency, the world's largest semiconductor equipment manufacturer, American company Applied Materials, sought to merge with TEL to counter Lam Research, the world's second-largest, also American-owned.

At the time, Applied Materials lost a significant portion of its market share in 12-inch wafer etching equipment to LAM, and the merger was seen as complementary. "But the merger violated antitrust laws, and the U.S. intervened," recalls a former Applied Materials executive.

Although the merger failed, Kawai, who was then the Chief Operating Officer, learned valuable lessons. "American equipment manufacturers inspired me, showing how much they value continuous pursuit of profitability."

The failed merger inadvertently ushered TEL into its third growth stage.

Traditionally, Japanese companies were perceived as prioritizing technology, investing heavily in perfecting technology before bringing products to market.

An executive at an American equipment manufacturer in Taiwan recalls an exchange where he boasted about his company's efficient after-sales service. "Whenever there's a problem with our machines, I personally lead the team to address it immediately," he said. To his surprise, the client retorted, saying they had never seen an after-sales engineer from TEL because "their machines hardly ever have problems."

This embarrassing comment to their American competitor sounded alarm bells to Kawai. "I realized that while we had high technical standards and excellent suppliers, without profitability, the company cannot sustain its growth."

In Kawai's eight years as President, TEL's operating profit margin rose from 5.3% in 2014 to 28% in 2023, catching up with its competitor Applied Materials, thanks to this realization.

Keeping pace with the rapid evolution of semiconductor technology

In that same year, TEL overhauled its product development system. The semiconductor industry is characterized by rapid technological innovation and market changes, with the timing of product releases being crucial.

"As CEO, I must be aware of market trends at all times," he says, "Speed is important."

To align with the semiconductor industry's pursuit of speed, Kawai promoted the “Shift-left testing” concept, originating from the software industry.

(Source: TEL)

In traditional development models, testing typically occurs late in the development phase, leading to high costs if errors are discovered. “Shift-left testing” advocates for early and frequent testing to accelerate development and reduce costs.

According to an industry insider, this idea initially came from within TSMC, prompting Kawai to implement it internally in response to major client demands.

Previously, semiconductor process development was carried out by equipment manufacturers in their own factories, generating data to pitch to clients and gauge their interest, followed by providing test equipment to client factories, a process that could take several years.

By implementing the “Shift-left testing” model, communication with clients and testing data starts during the equipment development phase. The results are evident in TEL's leading position in obtaining the most advanced EUV (Extreme Ultraviolet) process.

Dominating the EUV process market with nearly 100% market share

A semiconductor analyst explains that in the past, when EUV was mentioned, people only thought of ASML due to the high cost of the equipment. However, ASML only handles exposure, while before that, several steps, including resist coating, exposure lithography, and resist removal, are required in the process.

"For a 2-nanometer process, dozens of exposures are needed, and before that, many of TEL's equipment would be used," the analyst says.

TEL monopolizes the resist coating and exposure machines used in the EUV process, holding nearly 100% of the market share.

To maintain its dominance in the EUV process, TEL formed an alliance with customers, ASML, and the Belgian research center IMEC in 2021 to collaborate on the required technology development elements.

"We want to reduce risks and accelerate," says Akihisa Sekiguchi, TEL's Corporate Alliance Strategy and Technology GM, boldly adopting “Shift-left testing” to accelerate timelines and address issues early.

With ASML's ambitious goal to achieve mass production by 2026 using next-generation EUV technology — the higher-resolution High-NA (Numerical Aperture) — the alliance between TEL, ASML, and customers continues.

Since assuming the presidency, Kawai has seen consecutive years of revenue growth. Last year, both sales and net profits reached five-year highs, driven by the massive demand for logic ICs and High Bandwidth Memory (HBM) fueled by AI, propelling equipment manufacturers to new heights.

Another reason for TEL's rapid revenue growth comes from the U.S.-China trade tensions. With China unable to purchase U.S. equipment, it turns to Japanese companies. Last year, over half of TEL's revenue came from China. If the United States further restricts semiconductor equipment sales to China, accelerating China's domestic equipment development, it could squeeze out Japanese companies like TEL from the market.

"I don't think there will be much change; the demand for semiconductors is too vast," says Kawai, counting the transistors required for AI-driven GPUs, which will increase from 800 billion to 2 trillion as they transition from 4 to 2 nanometers.

Entering the AI ​​era, TEL focuses more on R&D and talents. (Source: TEL)

Next up is AI.

The compound annual growth rate for optical AI servers is as high as 31%.

In the future, requirements for chip performance will drive semiconductor technology innovation, including miniaturization and advanced packaging. "Many people have come to us," Kawai admits.

The TEL Group plans to invest ¥1.5 trillion (US$10 billion) in research and development over the next five years, recruiting ten thousand people globally. Starting April, TEL's Japanese headquarters will significantly increase salaries, with starting salaries for new hires in Japan increased by 40%, reaching ¥300,000 (around US$2,000) per month.

"We must maintain global competitiveness, and our salary structure must be at a world-class level," emphasizes Kawai.

At the end of the interview, the friendly president shares a moment of inspiration. He suddenly asks, "Do you know you can see Mount Fuji from here?"

In Japan, Mount Fuji is not just a mountain but also a symbol of spiritual belief. An office where Mount Fuji is visible represents not only reaching the peak of one's career but also a sanctuary for the soul.

Kawai eagerly lifts the nearby blinds, pointing to the glimpse of Mount Fuji's summit in the sunlight. "Do you see it?" he asks excitedly.

Kawai has spotted the next summit he's ready to conquer.


Have you read?

Uploaded by Ian Huang

Views

1235
Share

Keywords:

好友人數